• 【書籍】先端半導体製造プロセスの動向と微細化(No.2220) 製品画像

    【書籍】先端半導体製造プロセスの動向と微細化(No.2220)

    PR【試読できます】-成膜技術、リソグラフィ、エッチング、CMP、洗浄-

    書籍名:先端半導体製造プロセスの最新動向と微細化技術 --------------------- ★ムーアの法則の限界が叫ばれる中、微細化技術の開発はどこまで続くのか!   新構造、新材料の適用が進む、先端半導体製造「前工程」の最新技術を網羅した一冊 --------------------- ■ 本書のポイント 1 ・EUVリソグラフィの最新動向とレジスト、マスク、光源の技術課題 ・これ...

    • IPROS3391385136135994447_220x220.png

    メーカー・取り扱い企業: 株式会社技術情報協会

  • 【オンライン展示会】 株式会社ダッド デジタルクリエイティブ展 製品画像

    【オンライン展示会】 株式会社ダッド デジタルクリエイティブ展

    PRものづくりをデジタルで変える。デジタル技術でイノベーションを促進し新し…

    株式会社ダッドのWeb展示会 「デジタルクリエイティブ展WEB2024」が下記URLで開催中です。(5/27~8/30) トヨタグループ各社様をはじめ、多くの企業様で採用いただいた最新ソリューション事例をご紹介します。 ■新企画 展示会アーカイブ リアルで開催した展示会の内容をご紹介! ■バーチャルセミナー ダッド社員がお役立ち事例や事業を動画でご紹介! ■課題解決事例を多...

    メーカー・取り扱い企業: 株式会社ダッド

  • 【市場調査レポート】軍用GNSSアンチジャミングシステムの技術 製品画像

    【市場調査レポート】軍用GNSSアンチジャミングシステムの技術

    Military GNSS Anti-Jamming Systems …

    GNSSに依存した軍事プラットフォームの増加に伴い、そのようなプラットフォームの効率性に対抗するためのジャミングや干渉装置が増加しています。ジャマーの開発は急速に進んでおり、特に電子戦を行っている国では、既存のGNSSシステムを修正して近代化するか、増大するジャミングの問題に対処するために新しいシステムを開発する必要に迫られています。 当レポートでは、世界の軍用GNSSアンチジャミングシステ...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 【英文市場調査レポート】薄膜蒸着技術 製品画像

    【英文市場調査レポート】薄膜蒸着技術

    『無料サンプル』進呈中!【PDFダウンロード】ボタンからお申し込み方法…

    当レポートでは、PVD、CVD、ECDなどの各種薄膜形成技術の概要、特性、ベンダーが直面する課題の調査や今後の市場の予測を行っており、概略以下の構成でお届けします。 ...出版日: 2023年01月01日 発行: Information Network ページ情報: 英文 160 PAGES 目次 第1章 イントロダクション 第2章 エグゼクティブサマリー 第3章 PVD(物理蒸着) 第4章 ...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 【改定新版・無料進呈】東日 トルクハンドブックvol.10 製品画像

    【改定新版・無料進呈】東日 トルクハンドブックvol.10

    【5年ぶりの改訂版】トルク管理・トルク機器選定・運用・校正方法等の技術…

    【5年ぶりの改訂!無料進呈】 トルクハンドブックは製造業の研究・開発/設計/生産技術/品質管理(保証)/アフターサービス業務に従事し「トルク管理」、「ねじ締結」等に関連した方々を対象とし、トルク機器の運用・管理に役立つ実用的な技術資料を数多く掲載した、他に例を見ないユニークな冊子です。 【⾒どころ/読みどころ】 ・巻頭では当社の社史の他、新たに「進化を続けるポカヨケ関連製品の変遷」、「⼩...

    メーカー・取り扱い企業: 株式会社東日製作所

  • 【英文市場調査レポート】通信事業者向け技術サプライヤー 製品画像

    【英文市場調査レポート】通信事業者向け技術サプライヤー

    Sustainability Assessment: Telco Te…

    当レポートでは、通信事業者向け技術サプライヤーのサステナビリティに対する取り組みについて調査し、以下の内容を掲載しています。 ...出版日: 2022年10月17日 発行: ABI Research ページ情報: 英文 93 Pages 目次 第1章 イントロダクション、目的、および範囲 第2章 調査手法と評価の使用方法 第3章 全体的な評価結果:垂直の視点 第4章 個々のカテゴリーの結果:水平...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • ストーガー社フロースクリューファスニングFSF締結技術 製品画像

    ストーガー社フロースクリューファスニングFSF締結技術

    フロードリルスクリューによる締結 - マルチマテリアルの向け接合表面に…

    フロードリルスクリュー(FDS)による締結は、近年需要が拡大しております 異材接合における革新的な技術です。 FDSのねじは、ワークに高速回転でねじ込まれてながら、 フロードリルファンネル部を自己形成した後、ねじ自体を切っていきます。 同社では、FSF向けスクリュードライビングシステム、自動供給システムと、 ネジ締めプロセス全体を制御しデータ化する制御ユニットを含めたFDSプロセスユニットを製...

    • FLS_stoeg0452.jpg
    • FSF_funktion_neu.jpg
    • Comparison_with_without_angle_offset_compensation.jpg

    メーカー・取り扱い企業: 株式会社イリス 東京本社、大阪支店、名古屋支店

  • 【産業調査レポート】世界のPCR技術市場:技術別、製品別、用途別 製品画像

    【産業調査レポート】世界のPCR技術市場:技術別、製品別、用途別

    世界のPCR技術市場(~2027年):技術別(従来型、qPCR、dPC…

    MarketsandMarkets(マーケッツアンドマーケッツ)社の最新調査レポートによると、PCR技術の世界市場規模は2022年に125億ドルと推定され、2027年までにCAGR 8.0%で成長して183億ドルに達するとみられています。当書は、PCR技術の世界市場を総合的に分析し、イントロダクション、調査手法、エグゼクティブサマリー、プレミアムインサイト、市場概要、技術別分析(従来型、qPCR、...

    メーカー・取り扱い企業: H&Iグローバルリサーチ株式会社

  • 【レポート】航空機搭載ISRプラットフォーム・ペイロードの技術 製品画像

    【レポート】航空機搭載ISRプラットフォーム・ペイロードの技術

    Airborne ISR Platforms & Payloads -…

    米国は航空機搭載ISR(Intelligence、 Surveillance and Reconnaissance)システムの最大の市場であり、欧州連合と中国は、その他の2つの主要な市場となっています。米国、欧州、アジアは、世界市場の上位95%を占める市場となっています。 当レポートでは、世界の航空機搭載ISRプラットフォーム・ペイロード市場について調査分析し、市場動向と洞察、市場分析、市場...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 【市場調査レポート】産業用ブロックチェーンおよび分散型台帳技術 製品画像

    【市場調査レポート】産業用ブロックチェーンおよび分散型台帳技術

    『無料サンプル』進呈中!【PDFダウンロード】ボタンからお申し込み方法…

    当レポートでは、世界の産業用ブロックチェーンおよび分散型台帳技術 (DLT) の市場を調査し、収益規模の推移・予測、各種区分・地域別の詳細分析などをまとめています。 ...出版日: 2023年09月28日 発行: ABI Research ページ情報: 英文 9 Pages 目次 テーブル チャート ・図1:産業用ブロックチェーン収益:産業別 ・図2:産業用ブロックチェーン収益:タイプ別 ・図3...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • バイオガス発電向け最新型消化液処理システム「HT GEST」 製品画像

    バイオガス発電向け最新型消化液処理システム「HT GEST」

    「HT GEST」なら、農地散布と同程度のコストで消化液処理が可能!…

    バイオガス発電は、家畜のふん尿、食品廃棄物といった未利用資源からエネルギーを生み出す技術として、改めて注目を集めています。 ただ、その普及の足かせとなっているのは、発酵後に残る「消化液」の安価な処理・効果的な活用法の確立でした。 私たちはさまざまな技術の探索・検討を繰り返し、ついに最先端技術「HT GEST」に辿り着きました。 「HT GEST」は、イタリアのハイドロテックエンジニア...

    メーカー・取り扱い企業: 株式会社アーセック

  • レーザー加工技術展にてKUKAロボットでのリモートレーザ溶接デモ 製品画像

    レーザー加工技術展にてKUKAロボットでのリモートレーザ溶接デモ

    4月開催のレーザー加工技術展、豊田通商ブースでリモート溶接デモに展示!

    4/11から東京ビッグサイトにて開催されるレーザー加工技術展の豊田通商様ブースにて、IPG社製4kwレーザ発振器とHIGHYAG社製のリモート溶接ヘッドとの組み合わせで、KUKAロボットKR60HA(60kg可搬/高軌跡精度仕様)の動的展示いたします。 昨今の高出力レーザーの需要増の中で、同社にて導入時のカウンセリング・評価テスト・システム提案・工場導入までを完全にサポート致します。 是非...

    メーカー・取り扱い企業: KUKA Japan株式会社

  • 【市場調査レポート】小型・中型トラック用自律走行技術の米国市場 製品画像

    【市場調査レポート】小型・中型トラック用自律走行技術の米国市場

    US Light and Medium Duty Trucking A…

    米国の小型・中型トラック用自律走行技術の市場規模は、消費者の商品消費の増加、技術の進歩、安全への配慮、ビジネス効率を向上させる継続的な努力などによって促進されています。 当レポートでは、米国の小型・中型トラック用自律走行技術市場を調査しており、市場の概要、成長機会、戦略的考察などの包括的な情報を提供しています。 ...出版日: 2022年07月18日 発行: Frost & Sullivan ペ...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 【産業調査レポート】世界の垂直農法技術市場 製品画像

    【産業調査レポート】世界の垂直農法技術市場

    世界の垂直農法技術市場2023-2027

    垂直農法技術の世界市場 2023-2027 垂直農法技術市場は2022-2027年に1億2,075.26億米ドル成長し、予測期間中のCAGRは25.14%で加速すると予測されています。この調査資料は、垂直農法技術市場の全体的な分析、市場規模と予測、動向、成長促進要因、課題、約25のベンダーを網羅したベンダー分析などを掲載しています。 現在の市場シナリオ、最新動向と促進要因、市場環境全体に関する...

    メーカー・取り扱い企業: H&Iグローバルリサーチ株式会社

  • 【英文市場調査レポート】セキュリティ印刷の世界市場 製品画像

    【英文市場調査レポート】セキュリティ印刷の世界市場

    The Future of Global Security Print…

    世界のセキュリティ印刷の市場規模は、2021年には298億9、000万米ドルに達し、前年の約4%の落ち込みから回復する見通しです。今後は3.2%のCAGRで成長し、2026年には350億米ドル以上に達すると予測されています。特に、eコマース業界の発展を受けて、ブランド保護ソリューションの需要が高まっています。他方、データ・プライバシーに対する消費者の懸念が、業界にとっての継続的な課題となっています...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 【英文市場調査レポート】ブロックチェーンおよび分散型台帳技術 製品画像

    【英文市場調査レポート】ブロックチェーンおよび分散型台帳技術

    『無料サンプル』進呈中!【PDFダウンロード】ボタンからお申し込み方法…

    当レポートでは、ブロックチェーンおよび分散型台帳技術の市場を調査し、収益の推移・予測、産業・タイプ・地域など各種区分別の詳細分析などをまとめています。 ...出版日: 2023年09月28日 発行: ABI Research ページ情報: 英文 9 Pages 目次 テーブル チャート ・図1:ブロックチェーン収益:産業別 ・図2:ブロックチェーン収益:タイプ別 ・図3:ブロックチェーン収益:地...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 書籍【CM0708】 マイクロ・ナノデバイスのエッチング技術 製品画像

    書籍【CM0708】 マイクロ・ナノデバイスのエッチング技術

    書籍【CM0708】 マイクロ・ナノデバイスのエッチング技術

    書籍【CM0708】 マイクロ・ナノデバイスのエッチング技術 ■□■書籍内容■□■ コスト面や生産性で優れるウエット、微細化に優れるドライ、 そしてそれらの応用展開から構成される本書は、研究開発から 生産現場まで広い範囲でお役に立てます! 太陽電池におけるテクスチャー形成、3次元実装における TSV(シリコン貫通ビア)形成など、最新のエッチング技術を掲載! 予想通りに...

    メーカー・取り扱い企業: 株式会社AndTech

  • 【産業調査レポート】世界の生殖補助医療技術市場 製品画像

    【産業調査レポート】世界の生殖補助医療技術市場

    世界の生殖補助医療技術市場2023-2027

    生殖補助医療技術の世界市場 2023-2027 生殖補助医療技術市場は、2022-2027年に82億3,263万米ドルの成長が予測され、予測期間中のCAGRは5.79%で加速すると予測されています。当資料では、生殖補助医療技術市場について、市場規模や予測、動向、成長促進要因、課題などの全体的な分析に加え、約25のベンダーを網羅したベンダー分析も掲載しています。 現在の市場シナリオ、最新動向...

    メーカー・取り扱い企業: H&Iグローバルリサーチ株式会社

  • [市場レポート] グローバル・ブロックチェーン技術市場 製品画像

    [市場レポート] グローバル・ブロックチェーン技術市場

    グローバル・ブロックチェーン技術市場は、産業界がデジタルトランスフォー…

    グローバルなブロックチェーン技術市場は、さまざまな産業でブロックチェーンソリューションの採用が増加することにより、驚異的な成長を遂げています。最新の市場レポートによると、ブロックチェーン技術の市場収益は2021年に32億ドルに達し、2022年から2030年までの予測期間において年平均成長率(CAGR)51.2%で成長し、2030年までに721億ドルに達する見込みです。 ブロックチェーン技術は...

    メーカー・取り扱い企業: Panorama Data Insights Ltd.

  • 銅バー(ブスバー)加工に特化したプロフェッショナル集団 製品画像

    銅バー(ブスバー)加工に特化したプロフェッショナル集団

    銅バー(ブスバー)加工に特化したプロフェッショナル集団 - 当社の強み…

    ン:顧客の要求に応じたカスタムメイド製品。 (2)信頼性と安全性:高品質な銅材を使用し、電機設備の信頼性保証。    大手メーカーにも納入しており、厳しい品質管理で業界内高評価。 (3)技術革新:最新技術を駆使した製造プロセス。板厚1mm~20mmの銅板や丸棒から好適な部品をご提案。 (4)全面サポート:試作から量産まで顧客を全面支援。技術的な問題解決に向けた提案とサポートも充実。 【製品の素材...

    • 会社外観(峻さんの奥さんが修正してくれた外観).jpg

    メーカー・取り扱い企業: 株式会社石垣商店

  • Inmation ‐ OTデータ統合基盤ソリューション 製品画像

    Inmation ‐ OTデータ統合基盤ソリューション

    AspenTech Inmationは多様なデータの取り込み、処理、拡…

    AspenTech Inmationとは   Inmationの中核となるのが、データに基づいた情報への必要な統合を行うために、すべてのデータをバックグラウンドで処理するエンジンです。工場、企業のネットワークインフラストラクチャ、さまざまな場所にある業務コンポーネントとITシステムを接続できます。 Inmationを使用すると、様々なものを一目で確認できます。統合されたパフォーマンスダッ...

    メーカー・取り扱い企業: 株式会社アスペンテックジャパン/AspenTech 米国アスペンテクノロジー社日本法人

  • 【セミナー】核融合発電の最新開発動向、課題と実現への見通し 製品画像

    【セミナー】核融合発電の最新開発動向、課題と実現への見通し

    (一財)エネルギー総合工学研究所:核融合発電の仕組み、核融合開発の経緯…

    当社は、「核融合発電の最新開発動向、課題と実現への見通し」のセミナーを開催します。 近年核融合については、米英などが具体的な政策目標を提示して開発を推進するとともに、スタートアップ企業も急速に増加している。民間投資額は、単年度では国家プロジェクトへの投資額を上回るなど非常に活況である。国内でもGX基本計画などで核融合原型炉に言及され、産業化に向けた動きが進みつつある。 多くのスタートアップ...

    メーカー・取り扱い企業: 株式会社日本計画研究所

  • 電流センサの新製品満載! 総合カタログ【技術資料付き】贈呈中! 製品画像

    電流センサの新製品満載! 総合カタログ【技術資料付き】贈呈中!

    【最新版】注目の新製品電流センサを満載した総合カタログが完成!ひとつで…

    ユー・アール・ディーは、電流センサ専業メーカーとして40年の豊富な実績とラインアップで貴社の開発にお役立ちいたします! ★今なら最新版総合カタログを無料プレゼント中★ カタログご希望の方は【お問い合わせ】よりご請求ください。 ※【カタログダウンロード】よりダイジェスト版をご覧になれます。 【掲載内容】 ■交流電流センサ 一般計測用、精密計測用、分割・クランプ型センサ、高周波CT...

    メーカー・取り扱い企業: 株式会社ユー・アール・ディー

  • 【英文市場調査レポート】高信頼性受動電子部品 製品画像

    【英文市場調査レポート】高信頼性受動電子部品

    『無料サンプル』進呈中!【PDFダウンロード】ボタンからお申し込み方法…

    当レポートでは、世界の高信頼性受動電子部品の市場について分析し、各種の受動電子部品 (コンデンサ・抵抗器・インダクタなど) を製造する企業100社以上を対象に、全体的な市場規模の動向見通しや、製品別および用途別 (防衛・宇宙・民間航空、医療用インプラント、医療用テスト・スキャン装置、石油・ガス用エレクトロニクス、半導体製造装置 (プラズマエッチング)、研究開発用電子部品 (試験装置))・電圧別 (...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 電子部品・医療機器などの組立て~出荷検査まで  製品画像

    電子部品・医療機器などの組立て~出荷検査まで 

    高度なクオリティコントロールと検査技術で信頼を作り出す生産体制。液晶デ…

    コニシセイコー株式会社は、高精度なクオリティコントロールと検査技術で信頼を作り出す生産体制で幅広い分野にお役立ちします。 ◆液晶ディスプレイ関連 クリーンルームをはじめ、万全のクオリティを保障する最新鋭設備。 製造受託からラインの稼動、品質管理に至るまで、最高の技術が活かされた液晶ディスプレイ関連製造フィールドで、信頼性の高い生産体制を整えています。 ◆情報・通信機器関連 コンピ...

    メーカー・取り扱い企業: コニシセイコー株式会社

  • 【調査レポート】スマートホームモニタリング・セキュリティデバイス 製品画像

    【調査レポート】スマートホームモニタリング・セキュリティデバイス

    Worldwide Smart Home Monitoring and…

    当レポートでは、2022年~2026年の世界のスマートホームモニタリング・セキュリティデバイス市場の最新予測を提供しています。 世界のスマートホームモニタリング・セキュリティ市場は、今後5年間で緩やかに成長する見込みです。市場は、革新的なデバイスやサービスを低価格で提供しようとする無数のベンダーがしのぎを削っており、安全・安心という価値提案は、消費者がスマートホームの利益を評価する際の大きな魅力...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 【英文市場調査レポート】5Gデバイスの熱管理用材料技術の世界市場 製品画像

    【英文市場調査レポート】5Gデバイスの熱管理用材料技術の世界市場

    Materials Technologies for Thermal …

    世界の5Gデバイスの熱管理用材料技術の市場規模は、熱安定性向上のニーズの高まりなどによって促進されています。 当レポートでは、世界の5Gデバイスの熱管理用材料技術市場を調査しており、市場の概要、成長機会、戦略的考察などの包括的な情報を提供しています。 ...出版日: 2022年07月20日 発行: Frost & Sullivan ページ情報: 英文 66 Pages 目次 戦略的責務 調査範...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 【最新モデル】最先端技術の配送ロボット『T5 Pro』 製品画像

    【最新モデル】最先端技術の配送ロボット『T5 Pro』

    中国No.1のKEENONが開発した最先端技術SLAMとセンサー機能

    自社開発のSLAM(自己位置推定と環境地図作成の同時実行)や LIDAR(光検出と測距)等により抜群の安定感と効率性を発揮する 配送ロボットです。 どんな環境でもクールに存在できる洗練されたデザイン。 通過幅70cmで進化したポジショニング性能。 業界最高レベルのトレー検知機能。 ライト、音声、文字の3つ同時で伝えるインタラクション。 床から5cmの高さも検知できる安全センサー。 ...

    メーカー・取り扱い企業: Keenon Robotics株式会社 13

  • 技術情報誌 202003-01 リチウムイオン電池材料 製品画像

    技術情報誌 202003-01 リチウムイオン電池材料

    技術情報誌The TRC Newsは、研究開発、生産トラブルの解決、品…

    【要旨】 in situ分析技術は、充放電中の電極活物質の構造変化解析や電池内での反応分布を直接観測するために不可欠であり、この技術を活用することで、材料開発やセル設計を進める上で、重要な知見を得ることができる。また、電池を駆動させながら、ということに限らず、電池材料の熱安定性や化学構造の温度依存性を知ることは、製品の性能改善のために有効である。本稿では当社で取り組んでいる、充放電や温度変化など...

    メーカー・取り扱い企業: 株式会社東レリサーチセンター

  • 【導入事例】老朽化システムの最新化 製品画像

    【導入事例】老朽化システムの最新化

    使い勝手そのままで老朽化システムを最新化! 同時にシステムの機能追加…

    ※関連リンクよりソリューションの詳細がご覧いただけます。 イベント会場をはじめ多くの商業施設等、様々な分野でレンタル什器サービスを提供する株式会社山元様。 事業を支える基幹システムにおいて「保守サポート期限切れに伴うソフトウェア、ハードウェアのバージョンアップ対応」と「バージョンアップ後のシステムの継続稼働」が課題となっていました。 そして、プログラムには原則手を加えずに既存システムを新...

    メーカー・取り扱い企業: 株式会社アイセル

  • 【産業調査レポート】世界の排ガス制御技術市場 製品画像

    【産業調査レポート】世界の排ガス制御技術市場

    世界の排ガス制御技術市場2023-2027

    排ガス制御技術の世界市場 2023-2027 排ガス制御技術市場は、2022-2027年に390.9億ドルの成長が予測され、予測期間中のCAGRは7.14%で加速すると予測されています。この調査資料は、排ガス制御技術市場の全体的な分析、市場規模と予測、動向、成長促進要因、課題を掲載し、約25のベンダーを網羅したベンダー分析も掲載しています。 現在の市場シナリオ、最新動向と促進要因、市場環境全体...

    メーカー・取り扱い企業: H&Iグローバルリサーチ株式会社

  • 技術情報誌 202304-03 分離膜のナノ貫通孔径の選択的測定 製品画像

    技術情報誌 202304-03 分離膜のナノ貫通孔径の選択的測定

    水銀透過法の概要及び既存手法との比較事例を通じて、水銀透過法が機能層の…

    技術情報誌The TRC Newsは、研究開発、生産トラブルの解決、品質管理等のお役に立つ分析技術の最新情報です。 【要旨】 サステナブルな社会の実現において、優れた透過性能を持つ膜による省エネで低コストな分離・精製技術の開発がエネルギー、半導体、医療など様々な分野で期待されている。膜の透過性能は細孔構造に支配されるため、高度化した細孔構造を評価するための技術が重要となる。ここでは、東レリサー...

    メーカー・取り扱い企業: 株式会社東レリサーチセンター

  • LEDバックライティング技術 製品画像

    LEDバックライティング技術

    LEDバックライティング技術

    【刊行にあたって】 本書はLEDバックライトユニットの技術に注目し,技術の現状とその光学部品の最新技術を集成することを目的に企画された。執筆陣は,各分野のエキスパートの方々にお願いした。  本書が,LEDバックライトユニット分野ばかりでなく,液晶ディスプレイの開発,ユニットの構成部材であるLED...

    メーカー・取り扱い企業: 株式会社AndTech

  • デジタル回路設計(論理回路設計)・検証-LSI設計(半導体設計) 製品画像

    デジタル回路設計(論理回路設計)・検証-LSI設計(半導体設計)

    最新の設計・検証技術をフル活用

    これまで多くの半導体設計に携わり、論理回路設計・検証技術を磨いてきました。その経験と実績をもとに、FPGA設計/論理設計の開発プロセスにおけるアーキテクチャ設計(詳細設計)・論理設計・論理検証・実機検証等のさまざまな工程からサービスを提供。FPGA開発、MBD(Model Based Development:モデルベース開発)等の開発手法もご要望により承ります。また、プロジェクトの目的や特性に応じ...

    メーカー・取り扱い企業: 三栄ハイテックス株式会社

  • 【鍛圧機械】熱間自由鍛造3000Tプレス 製品画像

    【鍛圧機械】熱間自由鍛造3000Tプレス

    長年の経験と最新技術を融合させた鍛造プレスを、お客様のニーズに合わせて…

    弊社に実績がございます【熱間自由鍛造3000Tプレス】のご紹介です。 ※写真はプルダウン式 【特徴】 ●高剛性!高耐久性!高精度! ●お客様のご要望を詳細に検討、設計に反映し、ニーズに合ったオーダーメイドの機械を納入致します。 ●他社製プレスのメンテナンス等のご相談も承ります。 当社の熱間自由鍛造プレスは、プログラムコントロールシステムにより、バラつきのない高品質の製品を製造す...

    メーカー・取り扱い企業: 三菱長崎機工株式会社

  • 座席予約システム 製品画像

    座席予約システム

    革新的でフレキシブル、効率的 – 乗客の流れを最適化します

    モビリティや都市化といった今後のメガトレンドは、鉄道輸送に新たな課題をもたらします。鉄道輸送のますます緊密でダイナミックな世界において、乗客の流れを最適化し、効率的な経路を確保することがますます重要になっています。 EAOは、標準化されたプラットフォームを備えた最新の座席予約システムでこのニーズに対応し、お客様のご要望に応じたデザインやシステム適応の面で最大限の柔軟性を提供します。このシステ...

    メーカー・取り扱い企業: 株式会社イーエーオー・ジャパン

  • 最新第12世代CPU搭載した産業用PC ECX-3000シリーズ 製品画像

    最新第12世代CPU搭載した産業用PC ECX-3000シリーズ

    ECX-3000シリーズは幅広く9V-50VDC入力、5G/10GbE…

    第12世代インテル Core i9/i7/i5/i3 プロセッサ(Alder Lake-S)搭載、インテル R680Eチップセットを採用しています。信頼性の高い究極のメカニカル機構設計を誇るファンレス仕様で-40°C~75°C幅広い動作温度対応。80Vサージ保護、9~50V幅広い電源入力対応可能にし、オプションで豊富なイーサネットを選択可能(10G LAN/2.5G LAN/GigE LAN)。外...

    メーカー・取り扱い企業: VECOW 台湾本社

  • 自動運転自動車の運転支援と先進安全技術、市場技術動向、方向性 製品画像

    自動運転自動車の運転支援と先進安全技術、市場技術動向、方向性

    ~次世代センシング技術・走行速度・車間距離の制御・インフラ整備への課題…

    ★自動運転自動車の運転支援システム(オートパイロットシステム)が社会に与える影響と技術・インフラの現状について   先端を走る研究者・企業の担当者より解説 【講 師】 第1部 慶應義塾大学 大学院 政策・メディア研究科  教授 博士(工学) 大前 学 氏 第2部 ボッシュ(株) シャシーシステムコントロール事業部 ドライバーアシスタンス技術部長 兼 DAプロダクトマネージメント担当...

    メーカー・取り扱い企業: 株式会社AndTech

  • 超小型直流高圧電源 製品画像

    超小型直流高圧電源

    超小型直流高圧電源

    オンボードタイプ、非絶縁型・超小型直流安定化高圧電源。面実装技術・封止技術を採用。最新の電力変換技術によって開発され、高信頼性と低コストを実現。フォワードコンバータ技術を採用。公称100kHzのスイッチング周波数で動作。基準電圧によって高精度の安定した出力電圧が得られます。●出力電圧:0〜40kVまでラインナップ●出力電力:4/20/30W●入力:12Vdc/24Vdc●出力設定:出力可変型●モニ...

    メーカー・取り扱い企業: ゼネラル物産株式会社

  • 【高い技術と最新設備で板金加工・製缶・機械加工まで幅広く対応!】 製品画像

    【高い技術と最新設備で板金加工・製缶・機械加工まで幅広く対応!】

    短納期・多品種小ロット・量産・精密板金・機械加工・製缶金属加工でお悩み…

    関西圏屈指のCAD・CAM導入数で多品種小ロットの製品の短納期に対応。 FMS(自動搬送装置)付レーザーやレーザータレパン複合機を駆使し、24時間稼働で量産品もお受けいたします。 また新たに鋼材三次元レーザー加工機を導入し、アングル、角又は丸パイプ、チャンネル、H型鋼へのレーザー加工が可能になりました! 機械加工部品やその他特殊なパーツにおいても、ぜひご相談ください! 様々な技...

    メーカー・取り扱い企業: 株式会社西川製作所

  • 【英文市場調査レポート】ライナーレスラベルの世界市場 製品画像

    【英文市場調査レポート】ライナーレスラベルの世界市場

    Linerless Labeling 2022

    ライナーレスラベル技術は、現在、多くのラミネートメーカーにとって真のチャンスと見なされています。ライナーレスラベルは、感圧ラベル市場でシェアを拡大しており、VIPや一次製品の感圧ラベルで有望な成長を示しています。 当レポートでは、世界のライナーレスラベル市場について調査分析し、新規開発、成長分野、用途について、最新の情報を提供しています。 ...出版日: 2022年04月07日 発行: AWA ...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • プリンテッドエレクトロニクス技術の背景・市場と技術動向 製品画像

    プリンテッドエレクトロニクス技術の背景・市場と技術動向

    ★プリンタブルからプリンテッドへ! ★主要部分を占めるであろう印刷技…

    講 師 有限会社イメージテック 代表取締役 工学博士 田口 信義 氏 【著作】(監修)昇華型感熱転写記録材料(トリケプス、1988)、(共著)最新無機EL開発動向(情報機構、2007)、(共著)ほか 【受賞】近畿化学協会第39回化学技術賞(1987)ほか 【経歴】1968年松下電器産業㈱入社(中央研究所配属)、無機薄膜デバイス、熱抵抗素子、非銀塩印刷技術などの開発に従事。 20...

    メーカー・取り扱い企業: 株式会社AndTech

  • 株式会社イナック 検査 製品画像

    株式会社イナック 検査

    【検査】ハイクオリティな試作品をご提供!

    短納期化に向けての活動を行っております。 【特長】 ○最終段階において試作品一点一点の綿密な検査を行うことにより、常にハイクオリティな試作品をご提供いたします。 ○豊富な物作り経験で得た最新技術を常に制作部品へフィードバック! ○独自の工程品質管理により高品質・短納期を実現 ●その他機能や詳細については、カタログをダウンロードもしくはお問い合わせ下さい。 ...

    メーカー・取り扱い企業: 株式会社イナック

  • 電子ビーム三次元積層技術 製品画像

    電子ビーム三次元積層技術

    最新の電子ビームを採用した3次元積層装置による3Dチタン合金製品の受注…

    最新の電子ビームを採用した3次元積層装置による3Dチタン合金製品の受注製造を開始。 金属製の3Dプリントの導入により今まで困難だった形状の作成や、コストダウンが実現可能となりました。 現在は材質はチタン64合金のみ対応可能ですが、将来的にはチタン合金以外の高耐熱合金など高機能合金の部品も視野に入れております。 当社の3D加工は材料に巣が出来ず、表面を研磨した際にも中から疵が出ることがあり...

    メーカー・取り扱い企業: ヤマウチマテックス株式会社

  • CFA5.0/6.1 高速・高耐性CFカード(C500/C5x) 製品画像

    CFA5.0/6.1 高速・高耐性CFカード(C500/C5x)

    用途や特性に合わせて選べる搭載NAND(SLC,pSLC,MLC)。 …

    システムブートやデータロガー、FAロボットを始め、幅広い産業用システムで採用されているCFカードです。Swissbitでは、CFA規格別にCFA5.0/6.1ではC-500(SLC)、C-56(pSLC)、C-50(MLC)シリーズをご用意しています。 産業用途の設置環境や動作温度、搭載システムが直面する環境リスク、保存データの維持性能を重視して設計されるCFカードは、最新NAND技術のアルゴリ...

    メーカー・取り扱い企業: スイスビットジャパン株式会社

  • バニラキュアリング専用機 製品画像

    バニラキュアリング専用機

    バニラキュアリング専用機の特徴と利点:高品質なバニラ加工を実現する最新…

    高品質なバニラ加工を実現する最新技術 従来の手作業によるキュアリングは時間と労力がかかるため、効率化が求められてきました。そこで登場したのが、バニラキュアリング専用機です。 1. 温度の精密管理 内部の温度を精密に管理することが...

    メーカー・取り扱い企業: KSONテック株式会社 販売代理店デイブレイク株式会社〒140-0002 東京都品川区東品川2-6-4 G1ビル3F

  • 【市場調査レポート】技術投資の効果を最大化するためのITスキル 製品画像

    【市場調査レポート】技術投資の効果を最大化するためのITスキル

    Aligning IT Skills Initiatives to M…

    ITスキル・イニシアチブと技術戦略の間に一貫した整合性を持たせることで、DXやビジネス変革の目標に対する学習機能のインパクトを高めることができます。ITスキル・イニシアチブとIT戦略との効果的な連携は、IT組織がDXの目標をより良く達成するのに役立ちます。 当レポートでは、各企業における学習・開発 (L&D) 活動をIT組織の優先順位と整合させるためのフレームワークを、各社のIT担当役員や学習プ...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

676〜720 件 / 全 8114 件
表示件数
45件
  • bnr_2405_300x300m_azx_me_ja.jpg
  • bnr_2403_300x300m_ur-dg2_dz_ja_33566.png

PR