アルデック・ジャパン株式会社 ロゴアルデック・ジャパン株式会社

最終更新日:2020-09-01 14:12:44.0

  •  
  • カタログ発行日:2018/04/13

【資料】ASIC および FPGA デザインでのリセットとリセット ドメインクロッシング1.0

RTLコード解析・検証ソリューション ALINT-PRO

 RTLコード解析・検証ソリューション ALINT-PRO  製品画像

『ALINT-PRO』は、コーディングスタイルと命名規則、RTLと合成結果のシミュレーション不一致、スムーズで好適な合成、正しいFSM記述、 設計の後工程での問題、クロックおよびリセットツリーの問題、CDC、RDC、DFT、およびポータビリティと再利用のためのコーディングなどを中心に、VHDL、Verilog、SystemVerilogで記述されたRTLコードを解析する検証ソリューションです。

このソリューションは、RTLとSDC (Synopsys Design Constraints)ソースファイルをベースにスタティック解析を行い、デザインの早期段階で重大なデザインの問題点を見つけ出し、設計時間の大幅短縮に貢献します。

RTLシミュレーションおよび論理合成前にALINT-PROを実行すれば、デザイン上の問題をデザインフローの下流工程に波及する前に防ぎ、デザイン完成までに必要となる手戻りの回数を削減します。

※詳しくはPDF資料をご覧いただくか、お気軽にお問い合わせ下さい。 (詳細を見る

技術資料『デザインでのリセットとリセット ドメインクロッシング』

技術資料『デザインでのリセットとリセット ドメインクロッシング』 製品画像

本紙では、ASICおよびFPGAデザインのリセット関連の問題、およびよく使用される安全なリセット実装についての設計手法の概要について解説します。さらにリセットドメインクロッシング効果とその影響を緩和する方法についても解説します。LINTツールは設計者にとって、リセットとリセットドメインクロッシング検証に役立ちます。 (詳細を見る

取扱会社 【資料】ASIC および FPGA デザインでのリセットとリセット ドメインクロッシング

アルデック・ジャパン株式会社

■米国アルデック社製品の日本国内における販売、技術サポート、保守業務

【資料】ASIC および FPGA デザインでのリセットとリセット ドメインクロッシングへのお問い合わせ

お問い合わせ内容をご記入ください。

至急度必須

ご要望必須


  • あと文字入力できます。

目的必須

添付資料

お問い合わせ内容

あと文字入力できます。

【ご利用上の注意】
お問い合わせフォームを利用した広告宣伝等の行為は利用規約により禁止しております。
はじめてイプロスをご利用の方 はじめてイプロスをご利用の方 すでに会員の方はこちら
イプロス会員(無料)になると、情報掲載の企業に直接お問い合わせすることができます。
メールアドレス

※お問い合わせをすると、以下の出展者へ会員情報(会社名、部署名、所在地、氏名、TEL、FAX、メールアドレス)が通知されること、また以下の出展者からの電子メール広告を受信することに同意したこととなります。

アルデック・ジャパン株式会社


成功事例