• ダイヤモンドライクカーボン膜(DLC)コーティング加工 製品画像

    ダイヤモンドライクカーボン膜(DLC)コーティング加工

    PR金属から樹脂まで幅広く対応。金型や摺動部品の耐摩耗性向上に。メッキの代…

    『PEKURIS COAT』は、当社独自のプラズマイオン注入成膜装置を使用し、 潤滑性に優れたDLC膜をワークに形成するコーティング加工です。 イオン注入効果により、高密着成膜が容易で、ステンレス鋼や工具鋼、 アルミ合金等にも成膜可能。また、低温での処理が可能で、 融点の低い樹脂やゴム、アルミなどにも対応しております。 DLCコーティングでお困りの方は、ぜひお問い合わせください。...

    メーカー・取り扱い企業: 株式会社栗田製作所 本社・京都事業部

  • 非破壊検査用|高精細卓上型X線検査装置『J5600』 ※小型軽量 製品画像

    非破壊検査用|高精細卓上型X線検査装置『J5600』 ※小型軽量

    PR直径30umのCu銅ワイヤも鮮明に!低コスト×高性能の導入ハードルを抑…

    当社が開発した高精細卓上型X線検査装置『J5600』は、お客様からのご要望を形にした新型X線検査装置です。 「超高性能までは求めない、より低価格で導入しやすいX線検査装置はないのか…?」 「インライン用ではなく数個単位で検査をしたい、もっとコンパクトな非破壊検査装置はないのか…?」 このようなご要望をお持ちの方にピッタリです。 小型軽量ながらも、2mm厚のアルミ板に貼った直径30umの...

    • 2019-07-09_09h19_10.png
    • 2019-07-09_09h22_53.png
    • 2019-07-09_09h27_15.png
    • 2019-07-09_09h27_54.png
    • 2019-07-09_09h29_21.png
    • 2019-07-09_09h29_47.png
    • 2019-07-09_09h30_10.png
    • 2019-07-09_09h31_30.png
    • 2019-07-09_09h32_51.png

    メーカー・取り扱い企業: 株式会社中部メディカル

  • 半導体電子部品『デュアル・複合半導体』セカンドソース 製品画像

    半導体電子部品『デュアル・複合半導体』セカンドソース

    国内メーカーの代替品あり、JSCJのデュアル・複合半導体

    当社アクアスは、JSCJの国内主要販売店として各種電子部品を取り扱っています。 国内では生産が終了してしまった、ダイオードやトランジスタ等もご用意が可能です! サンプル対応も承っておりますので、お気軽にお問い合わせください。 【デュアル・複合半導体のラインアップ】 ■デュアルトランジスタ ■デュアルデジタルトランジスタ ■ダイオード内蔵NPN複合トランジスタ ■電源スイッチ用2素子内蔵複合トラン...

    • JSCJ.jpg

    メーカー・取り扱い企業: 株式会社アクアス

  • 半導体治工具に適したフッ素樹脂コーティング技術 製品画像

    半導体治工具に適したフッ素樹脂コーティング技術

    半導体治工具で必要な機能を付与できるコーティングをご紹介します

    半導体治工具とフッ素樹脂コーティングは、半導体製造において密接な関係があります。 フッ素樹脂コーティングは、半導体治工具に以下の機能を付与するために使用されています。 ■耐食性  半導体製造工程では、化学薬品や高温などの環境下で治具が使用されるため、耐食性の高いフッ素樹脂コーティングが施されます。 ■離型性  半導体製造工程では、治具から半導体ウェハーや部品を取り出す際に、離型性が求められます...

    メーカー・取り扱い企業: 株式会社吉田SKT

  • 【EOL品/事前計画】自動車用半導体のサプライチェーン 製品画像

    【EOL品/事前計画】自動車用半導体のサプライチェーン

    ライフサイクルの長い自動車メーカーの半導体へのニーズをサポート/製造中…

    ロチェスターは、大手半導体メーカーから信頼と認定を受けており、通常の製造中止後も継続的に半導体部品を供給ができるほか、ウェハ製造やICパッケージングのサプライチェーンにおける業界全体の技術動向に関する独自のソリューションも提供しています。 ロチェスターは、米国に拠点を置く自社施設での半導体製品の製造メーカーとして、IATF 16949:2016の認証を取得しています。このIATF 16949...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【半導体】 製造装置用の部品 製品画像

    【半導体】 製造装置用の部品

    最短半日見積り/半導体 関連部品の製作実績が多数!部品1つから調達可能…

    半導体関連装置では、 ワイヤボンディング(ボンダ)装置、フォーミング機・専用治具、モールディング装置、 レーザーマーカ、X線検査装置、スパッタリング装置、エッチング装置 等 半導体製造装置に必要な多品種少量の 精密機械加工部品を1個から調達する事ができます。 ◎独自の強み ・取引企業数は3、420社以上!  半導体製造メーカー様との取引多数あり! ・多品種少量で、図面1枚、部品1個から対応 ...

    メーカー・取り扱い企業: 株式会社 エージェンシーアシスト 京都本社 営業所(仙台・東京・埼玉・神奈川・浜松・愛知・岐阜・新潟・福井・奈良・兵庫・岡山・福岡)

  • 半導体製造プロセスとは?半導体製造を支える表面処理まで紹介 製品画像

    半導体製造プロセスとは?半導体製造を支える表面処理まで紹介

    半導体製造プロセスとは?半導体製造装置で採用される表面処理を紹介します…

    半導体製造プロセスとは、 設計から半導体デバイスを作り出し出荷するための一連の工程のことです。 半導体デバイスは、コンピュータ、スマートフォン、車載電子機器、LEDなど、 現代の様々な電子機器に利用される不可欠な部品です。 半導体製造プロセスは、高純度な精密性の高い技術を要するため、 多くの場合自動化されたクリーンルームで行われます。 1.設計→フォトマスクの製作  論理回路設計・レイアウト設...

    メーカー・取り扱い企業: 株式会社吉田SKT

  • 【自動車用半導体】IATF-16949の認証を取得 製品画像

    【自動車用半導体】IATF-16949の認証を取得

    自動車業界向けに半導体の継続供給をサポート/製造中止品(EOL品)の再…

    【プレスリリース】 2023年1月米国マサチューセッツ州ニューベリーポート:Rochester Electronics(以下:ロチェスターエレクトロニクス)は、当社の施設での半導体製品の製造において、IATF-16949:2016の認証を取得いたしました。国際自動車産業特別委員会が国際標準化機構と共同で開発したIATF-16949は、自動車業界の国際的な品質マネジメントシステムに関する業界最高水...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【半導体EOL品継続供給】「ISSI」メモリー製品 製品画像

    【半導体EOL品継続供給】「ISSI」メモリー製品

    ISSIのDRAM、フラッシュ及びSRAMメモリー製品の供給サポート/…

    ISSI (Integrated Silicon Solutions Inc.)とロチェスターエレクトロニクスは 、ISSIのメモリー製品の継続供給サポートを提供するためパートナシップを締結、複数の製品ラインをロチェスターに移管しました。 長期的なライフサイクルの供給とサポートにおいて、コアデバイスだけでなく、設計に関連するデバイスを保護することも重要です。 今回のISSIのメモリー製品の追加は...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【半導体EOL品】テキサス・インスツルメンツ製品の継続供給 製品画像

    【半導体EOL品】テキサス・インスツルメンツ製品の継続供給

    11億個以上のテキサス・インスツルメンツ製品を継続供給//製造中止品(…

    テキサス・インスツルメンツは30年以上にわたりロチェスターエレクトロニクスとパートナーシップを提携しています。 ロチェスターエレクトロニクスは、製造中止品およびレガシー製品を継続供給するテキサス・インスツルメンツに唯一認定されたソリューション・プロバイダーです。 ロチェスターエレクトロニクスでは、56、000品番を含む11億個以上のテキサス・インスツルメンツ製品在庫を保有しています。主要製...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【EOL品継続供給】メーカー認定の自動車向け製品ソリューション 製品画像

    【EOL品継続供給】メーカー認定の自動車向け製品ソリューション

    長期リードタイム製品への継続供給サポート/製造中止品(EOL品)の再生…

    現在、半導体のサプライチェーンにかかる負担は、特に自動車業界に影響を与えています。自動車アプリケーションにおける半導体の使用は、過去10年間で劇的に増加しており、自動車の電動化や安全機能の導入により成長を続けています。 今や半導体のサプライチェーンは自動車メーカーの製品製造計画には欠かせないものとなっています。部品の多くは、品質管理システムのIATF-16949、認定検査のAEC-Q100、及び...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【インテル】EOL品X86ファミリーマイクロプロセッサ 製品画像

    【インテル】EOL品X86ファミリーマイクロプロセッサ

    インテル認定:x86ファミリー・マイクロプロセッサ/製造中止品(EOL…

    ロチェスターエレクトロニクスでは、新たにインテルの 82945G、 BD3450、 およびAC82GM45 のチップセットが移管されました。 これらの新たな製品の追加により、x86アーキテクチャプロセッサおよびチップセットの長期サポートを継続的に供給することが可能になりました。 これらの製品は、産業、医療、および軍事用の組込みPC製品で広く使用されています。 またチップセットは、ロチェスターに...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【半導体EOL品】20億個以上のNexperia製品を継続供給 製品画像

    【半導体EOL品】20億個以上のNexperia製品を継続供給

    【Nexperia】ディスクリート、MOSFETやロジック製品の継続供…

    ロチェスターエレクトロニクスでは、ディスクリート、MOSFETやロジックなどの20億個以上のNexperia製品の在庫を保有、1万3千個以上の幅広い製品群でNexperia製品の継続供給をサポートしています。 ★ご使用中の半導体製品の在庫入手でお困りではありませんか? ロチェスターでは、アナログ・デバイセズ、インフィニオン、オンセミ、ルネサス エレクトロニクス、NXPなどの主要半導体メーカ...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【半導体関連】装置の安全カバーフレームの製造 製品画像

    【半導体関連】装置の安全カバーフレームの製造

    最短半日見積り/半導体製造関連/実装機械 等、装置の部分的な製作、装置…

    ◎お客様 装置メーカー・FA用機器 様 ◎ご依頼内容 自動化装置の安全カバーフレーム部分の製造 半導体製造装置メーカー様用 ◎主な仕様 [数量] 装置カバーA 1台 /装置カバーB 1台 [寸法(mm)/W×D×T] 装置カバーA : 2240mm × 1750mm × 1935mm 装置カバーB : 3500mm × 3050mm × 1845mm [カバー素材] PET (t=5) ...

    メーカー・取り扱い企業: 株式会社 エージェンシーアシスト 京都本社 営業所(仙台・東京・埼玉・神奈川・浜松・愛知・岐阜・新潟・福井・奈良・兵庫・岡山・福岡)

  • 半導体用エポキシ成形材料の世界市場レポート2024-2030 製品画像

    半導体用エポキシ成形材料の世界市場レポート2024-2030

    半導体用エポキシ成形材料の世界市場レポート2024-2030

    2024年4月25日に、QYResearchは「半導体用エポキシ成形材料―グローバル市場シェアとランキング、全体の売上と需要予測、2024~2030」の調査資料を発表しました。本レポートは、半導体用エポキシ成形材料の世界市場について分析し、主な総販売量...

    メーカー・取り扱い企業: QY Research株式会社 QY Research

  • フレキシブル半導体製造装置『SHUTTLELINEシリーズ』 製品画像

    フレキシブル半導体製造装置『SHUTTLELINEシリーズ』

    薄膜堆積用PECVD・ドライエッチング・故障解析用プロセス対応。多機能…

    『SHUTTLELINE(R)シリーズ』は、RIE/ICP-RIE、PECVD/ICP-CVDに対応し コンパクトながら高性能かつ多機能な半導体製造装置です。 成膜とエッチングプロセスを一台で実現し、様々なウエハサイズと形状に対応可能。 シャトルシステムにより、異なるサンプルサイズでもハードウェアの変更が不要です。 世界で広く採用されており、Plasma-Therm LLCの グ...

    • s1.png
    • s2.png
    • s3.png
    • s4.png
    • s5.png
    • s6.png
    • s7.png

    メーカー・取り扱い企業: プラズマ・サーモ・ジャパン株式会社

  • 半導体用ボンディングワイヤの世界市場シェア2024 製品画像

    半導体用ボンディングワイヤの世界市場シェア2024

    半導体用ボンディングワイヤの世界市場レポート:成長、市場規模、競合状況…

    2024年4月25日に、QYResearchは「半導体用ボンディングワイヤ―グローバル市場シェアとランキング、全体の売上と需要予測、2024~2030」の調査資料を発表しました。本レポートは、半導体用ボンディングワイヤの世界市場について分析し、主な総販...

    メーカー・取り扱い企業: QY Research株式会社 QY Research

  • アルミ、ステンレスの単品加工部品/半導体製造装置  製品画像

    アルミ、ステンレスの単品加工部品/半導体製造装置

    最短半日見積り/アルミ、ステンレスなどの金属部品を1個から単品加工いた…

    アルミ、ステンレスの単品加工はお任せ下さい。 == 実績 == 《用途》 半導体製造装置用 《材質》 A5052(アルミニウム) 黒アルマイト処理込み 《加工》 フライス加工 《加工精度》 1/100(10μm) ~ 5/1000(5μm) ※参考値の為、内容により異なります。 弊社は、部品の加工→表面処理(研磨)→検査まで、一括して承ります。 1個~数10個の単品加工を得意としており...

    メーカー・取り扱い企業: 株式会社 エージェンシーアシスト 京都本社 営業所(仙台・東京・埼玉・神奈川・浜松・愛知・岐阜・新潟・福井・奈良・兵庫・岡山・福岡)

  • 板金 精密板金 打ち出し板金 加工  製品画像

    板金 精密板金 打ち出し板金 加工

    精密板金・打ち出し板金 加工

    新幹線に代表される流線形の鉄道車両先頭構体ならびに運転室内部品や 計器盤の製造に関して全国有数の実績と経験を有している 山下工業所社『精密板金・打ち出し板金 加工 』のご案内です。 ■□■営業品目■□■ ■精密板金 ・半導体製造装置に組み込まれる大小様々な  薄板板金部品を製造、単品・少ロット、短納期などの要請に対応 ■打ち出し板金 製造・生産プロセス部門 ものづくり日本大賞・特別賞受賞! ...

    メーカー・取り扱い企業: 株式会社山下工業所

  • 【半導体EOL品継続供給】インフィニオン TC1130 製品画像

    【半導体EOL品継続供給】インフィニオン TC1130

    インフィニオンTC1130の継続供給サポート/製造中止品(EOL品)の…

    ロチェスターエレクトロニクスは、長年にわたりインフィニオン・テクノロジーズの認定パートナーとして、製造中止品や現行品を含むインフィニオン製品の継続供給をサポートしてきました。 ロチェスターでは、3億個以上のインフィニオン製品在庫とTC1130ファミリーを含む製造中止品の継続供給および再生産をサポートしています。 ★ご使用中の半導体製品の在庫入手でお困りではありませんか? ロチェスターでは、アナ...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【資料ダウンロード】半導体・電子分野で選ばれる表面処理「用途別」 製品画像

    【資料ダウンロード】半導体・電子分野で選ばれる表面処理「用途別」

    帯電防止性・耐熱性・耐薬品性・化学的不活性な特性のコーティングが半導体…

    ■メッキ冶具、はんだ治具、半導体製造ラインなどの用途 ・選ばれている表面処理 『テフロン(TM)フッ素樹脂コーティング』 ■精密金型などの用途 ・選ばれている表面処理 『バイコート(R)』 『ナノプロセス(R)』 ■ウェハー・ガラス用ハンドなどの用途 ・選ばれている表面処理 『セーフロン(R)』 『PBI、PIコーティング』 ■精密ノズル、MEMS部品、光学レンズ...

    メーカー・取り扱い企業: 株式会社吉田SKT

  • 2019 車載用半導体のマーケット分析 製品画像

    2019 車載用半導体のマーケット分析

    矢野経済研究所の車載用半導体市場に関するマーケットレポートです。

    自動車業界は「100年に一度の大変革期」の真っただ中にあり、CASEに対応するためにクルマに搭載される半導体デバイスの搭載数量は拡大している。特にxEV、ADAS/自動運転、コネクテッドカーでは、新しい半導体デバイスが採用され、異業種からの新規参入が活発化し、サプライチェーンも大きく変わろうとしている。本資料においては、マイコン、センサ、パワー半導体の最新動向、メーカシェアなどを分析し、2030年...

    メーカー・取り扱い企業: 株式会社矢野経済研究所

  • 【資料ダウンロード】半導体・電子分野で選ばれる表面処理「用途別」 製品画像

    【資料ダウンロード】半導体・電子分野で選ばれる表面処理「用途別」

    半導体製造や電子部品製造で選ばれる表面処理技術をご紹介します。

    ■メッキ冶具、はんだ治具、半導体製造ラインなどの用途 ・選ばれている表面処理 『テフロン(TM)フッ素樹脂コーティング』 ■精密金型などの用途 ・選ばれている表面処理 『バイコート(R)』 『ナノプロセス(R)』 ■ウェハー・ガラス用ハンドなどの用途 ・選ばれている表面処理 『セーフロン(R)』 『PBI、PIコーティング』 ■精密ノズル、MEMS部品、光学レンズなどの用途 ・選ばれている表...

    メーカー・取り扱い企業: 株式会社吉田SKT

  • 【継続供給】Intelligent Memory製品 製品画像

    【継続供給】Intelligent Memory製品

    成熟したDRAMおよびNAND製品を継続供給

    ロチェスターエレクトロニクスは、Intelligent Memoryとパートナーシップを締結し、 産業用および組込みアプリケーション向けにカスタマイズされた、成熟したレガシー・DRAMおよびNANDストレージソリューションの継続的供給をサポートします。 ロチェスターエレクトロニクスは、半導体製品を継続供給する業界最大手の正規販売代理店および製造メーカーであり、 オリジナル半導体メーカーに認定された...

    メーカー・取り扱い企業: Rochester Electronics, Ltd.

  • 【半導体取付用スペーサー】 電子部品・半導体の基板への取り付けに 製品画像

    【半導体取付用スペーサー】 電子部品・半導体の基板への取り付けに

    【半導体取付用スペーサー】 フッ素樹脂は、耐熱性、耐薬品性、耐摩耗性、…

    【PTFE】 フッ素樹脂は、耐熱性、耐薬品性、耐摩耗性、非粘着性、絶縁性、耐候性など、多くの優れた特徴があります。 その特徴を活かしてフッ素樹脂は調理用品など生活に密着した部分から半導体・化学・電子機械・医療分野など産業の最先端まで重要な役割を果たします。 【半導体取付用スペーサー】 プリント基板に取り付ける半導体・電子部品(※)の高さを一定、湿度影響を受けにくく半田箇所とのスペー...

    メーカー・取り扱い企業: 株式会社廣杉計器

  • 【英文市場調査レポート】半導体用FOUP・FOSBの世界市場 製品画像

    【英文市場調査レポート】半導体用FOUP・FOSBの世界市場

    『無料サンプル』進呈中!【PDFダウンロード】ボタンからお申し込み方法…

    世界の半導体用FOUP・FOSBの市場規模は、2022年に7億2,460万米ドル、2029年には11億5,000万米ドルに達する見通しで、予測期間中 (2023年~2029年) に7.05%のCAGRで成長する...

    メーカー・取り扱い企業: 株式会社グローバルインフォメーション

  • 半導体樹脂封止の「金型内部の見える化ソリューション」 製品画像

    半導体樹脂封止の「金型内部の見える化ソリューション」

    問題が顕在化するパッケージ成形の品質と生産効率の改善をご提案します!

    る水晶圧電式の型内圧センサを採用し、半導体の樹脂封止に最適化されており、以下の特長があります。 ・低粘度樹脂対応 ⇒ エポキシ樹脂の侵入による測定誤差が生じない構造(ダイヤフラム構造) ・半導体用樹脂用高温対応 ⇒ 金型温度200℃まで ・•耐久性 ⇒ 半永久的 ・直線性(測定誤差)⇒ 測定レンジに対して1%以下を保証 ・分解能 ⇒ 1/1000 MPa 半導体樹脂封止にお...

    • c.jpg
    • d.jpg
    • b.jpg
    • Kistler 6162AA_freigestellt.png

    メーカー・取り扱い企業: 日本キスラー合同会社 本社

  • 【真空にも対応】精密位置決めステージシステム 製品画像

    【真空にも対応】精密位置決めステージシステム

    高精度位置決め、高速移動と微動をひとつで実現するNanomotionの…

    開発のための試作や小ロットでの生産などの用途向けに、超音波モータを使用した高速移動・精密位置決め・真空対応のステージを設計・製作します。 Nanomotion社の超音波モータは、バックラッシのないダイレクトドライブ。 大気中だけでなく高真空中で使用でき、MRIやイオンビームの用途では非磁性モデルも用意しております。 ■ライトシート顕微鏡用ステージ 正確で10nmの精度を実現し、優れた速...

    • 1nm多軸ステージ.jpg
    • AF01.jpg
    • 放射光向けステージ.jpg
    • デジタルイメージング用ステージ.jpg
    • AF02.jpg
    • 低軌衛星生用.jpg
    • イオンビーム用ステージ.jpg

    メーカー・取り扱い企業: 株式会社工苑

  • 【真空対応】特注で作る精密位置決めステージシステム 製品画像

    【真空対応】特注で作る精密位置決めステージシステム

    超音波モータ標準ステージだけではあと一歩実現できない装置向けに、カスタ…

    開発のための試作や小ロットでの生産などの用途向けに、超音波モータを使用した高速移動・精密位置決め・真空対応のステージを設計・製作します。 Nanomotion社の超音波モータは、バックラッシのないダイレクトドライブで、無通電でステージを保持できます。 また高真空中で使用でき、MRIやイオンビームの用途では非磁性モデルも用意しております。 ■半導体製造評価用1nm多軸精密ステージ SEモ...

    • 1nm多軸ステージ.jpg
    • AF01.jpg
    • 放射光向けステージ.jpg
    • デジタルイメージング用ステージ.jpg
    • AF02.jpg
    • 低軌衛星生用.jpg
    • イオンビーム用ステージ.jpg

    メーカー・取り扱い企業: 株式会社工苑

  • プリント基板/半導体パッケージ基板用めっき薬品のご紹介 製品画像

    プリント基板/半導体パッケージ基板用めっき薬品のご紹介

    【JPCAShow2024】半導体ウエハ、パッケージ基板、フレキシブル…

    奥野製薬工業は、東京ビッグサイト(東京国際展示場)で、2024年6月12日(水)~6月14日(金)に開催されますJPCA Show 2024に出展いたします。 電子機器の普及とともに急速に発展するプリント基板/半導体パッケージ基板。 その高性能化、多機能化に貢献する表面処理薬品とプロセスをご提案します。 その他新技術として、封止樹脂との高い密着性を有する高信頼性粒状銅めっき、リチウムデンドライ...

    メーカー・取り扱い企業: 奥野製薬工業株式会社 大阪・放出、東京、名古屋など

  • フッ素樹脂コーティング 「採用業界や用途とは」 製品画像

    フッ素樹脂コーティング 「採用業界や用途とは」

    非粘着性や撥水性、すべり性に優れ、耐熱性や耐薬品性の高いフッ素樹脂コー…

    ■フッ素樹脂コーティングの採用業界と用途 ・半導体製造装置   めっき治具関係/半導体製造ライン/真空チャンバー等 ・自動車産業  CFRP成形工程/搬送機器/成形金型/カッター刃等 ・食品用機器  自動炊飯ライン/焼き型など ・産業用分野  射出成形用金型/樹脂成型金型/真空成型金型/ロール類 ・化学プラント   タンク類/配管/攪拌機/遠心分離機など ・一...

    • tefron.png
    • 2021-06-02 (10).png
    • 2021-05-01 (2).png
    • s-0078ZLedit.gif
    • s-00718Nedit.gif

    メーカー・取り扱い企業: 株式会社吉田SKT

  • 【調査資料】電子用ガス・半導体用ガスの世界市場 製品画像

    【調査資料】電子用ガス・半導体用ガスの世界市場

    電子用ガス・半導体用ガスの世界市場:窒素、アルゴン、水素、ヘリウム、シ…

    本調査レポート(Global Electronic and Semiconductor Gases Market)は、電子用ガス・半導体用ガスのグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の電子用ガス・半導体用ガス市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 電気・電子部品用液状注型樹脂 製品画像

    電気・電子部品用液状注型樹脂

    低粘度・速硬化性や可とう性などの特性!パワーデバイス・半導体などに好適

    『電気・電子部品用液状注型樹脂』は、エレクトロニクス製品を 中心とした注型・ポッティング用の液状樹脂材料です。 高耐熱性や熱伝導性、難燃性などの特性があり、自動車・輸送機器を はじめ、半導体・電子回路基板、電気機器・センサーなどの分野に適応。 絶縁、耐熱、放熱など様々な特性に特化した製品を選定いたします。 その他、電気絶縁ワニスも取り扱っております。 【特性】 ■高耐熱性 ■熱伝導性 ■難...

    メーカー・取り扱い企業: 澤村電材株式会社

  • SiC半導体評価用試験器 製品画像

    SiC半導体評価用試験器

    大容量の試験を少ない消費電力で実現!実機搭載状態に近い条件で、波形の確…

    当装置はSiC半導体や、SiC半導体と組合わせて使用する装置を、実機に搭載 させる事なく、実環境に近い評価試験が可能となる装置です。 実機搭載状態に近い条件で、損失、発熱、騒音、耐久性、各種計測器を 用いて波形の確認が可能となります。 また、回生機能を有している為、大容量の試験を少ない消費電力で実現。 SiC半導体以外の、車載用リアクトル、IGBT、IPM用の試験器へ応用もできま...

    • image_13.png

    メーカー・取り扱い企業: 東京精電株式会社

  • Druck 半導体アプリケーション用圧力センサ 製品画像

    Druck 半導体アプリケーション用圧力センサ

    半導体製造装置の流量制御に必要な高精度の圧力センサ各種。モジュールのカ…

    【SEMICON JAPAN2021に出展いたしました】 多くのお客様にブースにお寄りいただきありがとうございました。 【半導体製造装置の流体制御に】 半導体製造工程においてはプロセスガスの高精度な流量制御が不可欠です。厳しい条件下で高いパフォーマンスを発揮する各種圧力センサ、カスタマイズできるモジュール機能などをご提供しております。 【注目製品】 新製品:温度変化に強いADRO...

    • ADROIT イメージ イプロス.jpg
    • 半導体adroit2 イプロス.jpg

    メーカー・取り扱い企業: 日本ベーカーヒューズ株式会社&ベーカーヒューズ・エナジージャパン株式会社  (旧)GEセンシング&インスペクション・テクノロジーズ株式会社 & GEエナジー・ジャパン株式会社

  • 【調査資料】高温用半導体装置の世界市場 製品画像

    【調査資料】高温用半導体装置の世界市場

    高温用半導体装置の世界市場:窒化ガリウム(GaN)、炭化ケイ素(SiC…

    本調査レポート(Global Semiconductor Devices for High Temperature Market)は、高温用半導体装置のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の高温用半導体装置市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通チャネル分析などの情報を収録しています。 高温用半導体装...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】半導体用接着剤の世界市場 製品画像

    【調査資料】半導体用接着剤の世界市場

    半導体用接着剤の世界市場:光路リンクアップ用接着剤、精密固定用紫外線接…

    本調査レポート(Global Bonding Materials for The Semiconductor Market)は、半導体用接着剤のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の半導体用接着剤市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】バッテリー管理用自動車半導体の世界市場 製品画像

    【調査資料】バッテリー管理用自動車半導体の世界市場

    バッテリー管理用自動車半導体の世界市場:カットオフFET半導体、残量ゲ…

    本調査レポート(Global Automotive Semiconductors for Battery Manag)は、バッテリー管理用自動車半導体のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界のバッテリー管理用自動車半導体市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通チャネル分析などの情報を収録しています。 バ...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】半導体・電子機器用カドミウムの世界市場 製品画像

    【調査資料】半導体・電子機器用カドミウムの世界市場

    半導体・電子機器用カドミウムの世界市場:セレン化カドミウム、硫化カドミ…

    本調査レポート(Global Cadmium in Semiconductors and Electronics M)は、半導体・電子機器用カドミウムのグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の半導体・電子機器用カドミウム市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通チャネル分析などの情報を収録しています。 半...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】半導体用過酸化水素の世界市場 製品画像

    【調査資料】半導体用過酸化水素の世界市場

    半導体用過酸化水素の世界市場:SEMI G1、SEMI G2、SEMI…

    本調査レポート(Global Semiconductor Grade Hydrogen Peroxide Marke)は、半導体用過酸化水素のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の半導体用過酸化水素市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】電力用半導体&モジュールの世界市場 製品画像

    【調査資料】電力用半導体&モジュールの世界市場

    電力用半導体&モジュールの世界市場:MOSFET、ディスクリートIGB…

    本調査レポート(Global Power Semiconductors and Modules Market)は、電力用半導体&モジュールのグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の電力用半導体&モジュール市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通チャネル分析などの情報を収録しています。 電力用半導体&モジ...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】半導体用湿式プロセス装置の世界市場 製品画像

    【調査資料】半導体用湿式プロセス装置の世界市場

    半導体用湿式プロセス装置の世界市場:手動式、半自動式、全自動式、スマー…

    本調査レポート(Global Semiconductor Wet Process Equipment Market)は、半導体用湿式プロセス装置のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の半導体用湿式プロセス装置市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】電力用半導体スイッチ素子の世界市場 製品画像

    【調査資料】電力用半導体スイッチ素子の世界市場

    電力用半導体スイッチ素子の世界市場:パワーMOSFET、IGBT、バイ…

    本調査レポート(Global Power Semiconductor Switches Devices Market)は、電力用半導体スイッチ素子のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の電力用半導体スイッチ素子市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通チャネル分析などの情報を収録しています。 電力用半導...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】産業用IGBTパワー半導体の世界市場 製品画像

    【調査資料】産業用IGBTパワー半導体の世界市場

    産業用IGBTパワー半導体の世界市場:ディスクリートIGBT、IGBT…

    本調査レポート(Global Industrial IGBT Power Semiconductors Market)は、産業用IGBTパワー半導体のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の産業用IGBTパワー半導体市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通チャネル分析などの情報を収録しています。 産業用...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】化合物半導体用ドライエッチング装置の世界市場 製品画像

    【調査資料】化合物半導体用ドライエッチング装置の世界市場

    化合物半導体用ドライエッチング装置の世界市場:物理的エッチング、化学的…

    本調査レポート(Global Dry Etching Machine for Compound Semiconductor Market)は、化合物半導体用ドライエッチング装置のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の化合物半導体用ドライエッチング装置市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】半導体装置用分子ポンプの世界市場 製品画像

    【調査資料】半導体装置用分子ポンプの世界市場

    半導体装置用分子ポンプの世界市場:磁気浮上分子ポンプ、オイル潤滑分子ポ…

    本調査レポート(Global Molecular Pump for Semiconductor Equipment Market)は、半導体装置用分子ポンプのグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の半導体装置用分子ポンプ市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通チャネル分析などの情報を収録しています。 半...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

  • 【調査資料】半導体チップ試験用具の世界市場 製品画像

    【調査資料】半導体チップ試験用具の世界市場

    半導体チップ試験用具の世界市場:非破壊試験用具、外部試験装置、電気試験…

    本調査レポート(Global Semiconductor Chip Testing Tool Market)は、半導体チップ試験用具のグローバル市場の現状と今後5年間の展望について調査・分析しました。世界の半導体チップ試験用具市場概要、主要企業の動向(売上、販売価格、市場シェア)、セグメント別市場規模、主要地域別市場規模、流通チャネル分析などの情報を収録しています。 半導体チップ試験用具市場の種...

    メーカー・取り扱い企業: 株式会社マーケットリサーチセンター

1〜45 件 / 全 5471 件
表示件数
45件
  • icadtechnicalfair7th_1_pre2.jpg

PR